Vivadoでプロジェクト作成からFPGA書き込みまでやってみた

概要

Vivadoでプロジェクト作成からFPGA書き込みまでやってみました。

Vivado MLバージョン 2021.1.1です。(記事作成途中でアップデート)

※この記事は進んだり戻ったりしながら書いたのでこの手順の通りでうまくいくかわかりません。

ちなみに、ARTY FPGA評価ボード [410-319] を使っています。

記事は5ページあります。

プロジェクト作成

File→Project→New… でプロジェクト作成を開始します。

Create a New Vivado Project

Nextをクリックします。

Project Name

Project name: プロジェクト名を決めて入力
Project location: プロジェクトファイルを保存する作業場所を決める
☑Create project subdirectory にチェック入れるとプロジェクト名のフォルダが作られてプロジェクトファイルがそこに保存されるものだと思います。

Nextをクリックします。

Project Type

Project Type(プロジェクトの種類)を決めます。
☑RTL Project
☑Do not specify sources at this time.
「現段階では、ソースを指定しないでください。」のチェックを入れたままにしました。
Nextをクリックします。

Default Part

Default Part で使用する部品またはボードを指定します。
ARTY FPGA評価ボード [410-319] を使っているので
xc7a35ticsg324-1L
を検索し、指定して
Nextをクリックします。

New Project Summary

New Project Summaryを確認して
Finish
プロジェクトが作成されます。

コメント

タイトルとURLをコピーしました